Микроконтроллеры

Информация о пользователе

Привет, Гость! Войдите или зарегистрируйтесь.


Вы здесь » Микроконтроллеры » Архив » STM32F746 + USART в режиме RS485


STM32F746 + USART в режиме RS485

Сообщений 1 страница 30 из 31

1

Есть в этом МК возможности в модуле USART для реализации интерфейса RS485 полностью на аппаратном уровне. Я попытаюсь его реализовать , наработки буду выкладывать. Так как я пишу библиотеку на С++, она мало кому будет нужна, буду просто выкладывать куски кода и функций.

2

Это  во всех где новая периферия. См F0 .
Там еще модбас аппаратная поддержка.

3

Библиотека полностью перенеслась с F4, только названия двух регистров поменяли и разделили DR на отдельные для приема и передачи. Осталось ее проверить и добавить новые фишки.
Работать будет так: Прием по ДМА - либо по фиксированной длине пакета, либо по IDLE. Зависит от того какая функция стартанет чтение. Лень добавлять таймер, да и мороки больше для фисации межпакетного интервала в 3.5 символа. Передача по ДМА. Изучу новшества может что изменю.

Отредактировано MasterElectric (2017-08-09 22:18:49)

4

MasterElectric написал(а):

мороки больше для фисации межпакетного интервала в 3.5 символа.

Ну дык, ты все же модбас стряпаешь?
Погоди посмотрю RM на твой чип.

5

Да при беглом взгляде 1 в 1 с 072 ну или почти. Возьму за основу твой пример, хорошо что есть.

Отредактировано MasterElectric (2017-08-09 22:34:42)

6

dosikus написал(а):

Ну дык, ты все же модбас стряпаешь?

Пока просто RS485, протокол у меня свой, но и от поддержки стандартного не откажусь) Там вроде бы нужно байты выворачивать наизнанку.

Отредактировано MasterElectric (2017-08-09 22:37:55)

7

ВотЪ  31.5.8 Modbus communication using USART  стр. 1008

Еще CRC посмотри , если надо огрызок под модбас RTU скину.

8

в ModBus вроде как CRC16? Аппаратный посмотреть? Скидывай конечно)

9

Сырое  только для осознание и тестирования.
Объяснять?

Код:
  
        #define CRC_DR   *(__IO uint8_t*)&(CRC->DR)
  	RCC->AHBENR|=RCC_AHBENR_CRCEN;
      CRC->CR|=CRC_CR_POLSIZE_0|
    	CRC_CR_REV_IN_0|
    	CRC_CR_REV_OUT
                  ;
    	
    *(__IO uint16_t*)&(CRC->INIT)=0xFFFF;
    
    
    
      CRC->CR|=CRC_CR_POLSIZE_0;
    
    
      *(__IO uint16_t*)&(CRC->POL)=0x8005;
      //*(__IO uint16_t*)&(CRC->POL)=0xA001;

    CRC->CR|=CRC_CR_RESET; 

    //11 0F 00 13 00 0A 02 CD 01 BF 0B
	 
	 CRC_DR=0x11;
	 CRC_DR=0x0F;
	 CRC_DR=0x00;
	 CRC_DR=0x13;
	 CRC_DR=0x00;
	 CRC_DR=0x0A;
         CRC_DR=0x02;
	 CRC_DR=0xCD;
	 CRC_DR=0x01;
	 CRC_DR=0xBF;
	 CRC_DR=0x0B;
     
	temp_POL=*(__IO uint16_t*)&(CRC->POL);
	temp_CRC=CRC->DR;

10

dosikus написал(а):

Объяснять?

да нет) разберусь я к регистрам привыкший. Спасибо. Это стандартный модбасовский?

11

MasterElectric, угу для RTU.

//11 0F 00 13 00 0A 02 CD 01 BF 0B  - пример пакета модбас RTU , два последних байта CRC

CRC_DR=0x11;
CRC_DR=0x0F;
CRC_DR=0x00;
CRC_DR=0x13;
CRC_DR=0x00;
CRC_DR=0x0A;
     CRC_DR=0x02;
CRC_DR=0xCD;
CRC_DR=0x01;
CRC_DR=0xBF;<- здесь в  CRC_DR имеем CRC
CRC_DR=0x0B; <- после этого в CRC_DR будет 0

http://s9.uploads.ru/t/KBCMX.png
http://s0.uploads.ru/t/2GvLA.png

12

Ок уже поздно, сравню со своим табличным завтра, отпишусь.

13

Сравнивал и отлаживал на этом

Код:
// pay attention
// P_16 -- 0xA001 or 1010 0000 0000 0001 is a reversed form
// of the standard polynom 0x8005 or 1000 0000 0000 0101
#define  P_16        0xA001
 
static unsigned short   crc_tab16[ 256 ];
 
/*******************************************************************\
*                                                                   *
*   static void init_crc16_tab( void );                             *
*                                                                   *
*   The function init_crc16_tab() is used  to  fill  the  array     *
*   for calculation of the CRC-16 with values.                      *
*                                                                   *
\*******************************************************************/
 
void init_crc16_tab( void )
{
 
    unsigned int i, j;
    unsigned short crc, c;
 
    for ( i=0; i < 256; ++i )
    {
 
        crc = 0;
        c = i;
 
        for ( j = 0; j < 8; ++j )
        {
 
            if ( ( crc ^ c ) & 0x0001 )
            {
                crc = ( crc >> 1 ) ^ P_16;
            }
            else
            {
                crc =   crc >> 1;
            }
 
            c = c >> 1;
        }
 
        crc_tab16[ i ] = crc;
    }
   
}  /* init_crc16_tab */
 
 
/*******************************************************************\
*                                                                   *
*   unsigned short update_crc_16( unsigned short crc, char c );     *
*                                                                   *
*   The function update_crc_16 calculates a  new  CRC-16  value     *
*   based  on  the  previous value of the CRC and the next byte     *
*   of the data to be checked.                                      *
*                                                                   *
\*******************************************************************/
 
unsigned short update_crc_16( unsigned short crc, char c )
{
 
    unsigned short tmp, short_c;
 
    short_c = 0x00ff & ( unsigned short ) c;
 
    tmp =  crc         ^ short_c;
    crc = ( crc >> 8 ) ^ crc_tab16[ tmp & 0xff ];
 
    return crc;
 
}  /* update_crc_16 */
     

14

Проверил тоже программный такой же как и у тебя, результат правильный.

Код:
const uint16_t Cls_ModBus_CRC16::CRC16Table[] = {
 0x0000, 0xC0C1, 0xC181, 0x0140, 0xC301, 0x03C0, 0x0280, 0xC241,
 0xC601, 0x06C0, 0x0780, 0xC741, 0x0500, 0xC5C1, 0xC481, 0x0440,
 0xCC01, 0x0CC0, 0x0D80, 0xCD41, 0x0F00, 0xCFC1, 0xCE81, 0x0E40,
 0x0A00, 0xCAC1, 0xCB81, 0x0B40, 0xC901, 0x09C0, 0x0880, 0xC841,
 0xD801, 0x18C0, 0x1980, 0xD941, 0x1B00, 0xDBC1, 0xDA81, 0x1A40,
 0x1E00, 0xDEC1, 0xDF81, 0x1F40, 0xDD01, 0x1DC0, 0x1C80, 0xDC41,
 0x1400, 0xD4C1, 0xD581, 0x1540, 0xD701, 0x17C0, 0x1680, 0xD641,
 0xD201, 0x12C0, 0x1380, 0xD341, 0x1100, 0xD1C1, 0xD081, 0x1040,
 0xF001, 0x30C0, 0x3180, 0xF141, 0x3300, 0xF3C1, 0xF281, 0x3240,
 0x3600, 0xF6C1, 0xF781, 0x3740, 0xF501, 0x35C0, 0x3480, 0xF441,
 0x3C00, 0xFCC1, 0xFD81, 0x3D40, 0xFF01, 0x3FC0, 0x3E80, 0xFE41,
 0xFA01, 0x3AC0, 0x3B80, 0xFB41, 0x3900, 0xF9C1, 0xF881, 0x3840,
 0x2800, 0xE8C1, 0xE981, 0x2940, 0xEB01, 0x2BC0, 0x2A80, 0xEA41,
 0xEE01, 0x2EC0, 0x2F80, 0xEF41, 0x2D00, 0xEDC1, 0xEC81, 0x2C40,
 0xE401, 0x24C0, 0x2580, 0xE541, 0x2700, 0xE7C1, 0xE681, 0x2640,
 0x2200, 0xE2C1, 0xE381, 0x2340, 0xE101, 0x21C0, 0x2080, 0xE041,
 0xA001, 0x60C0, 0x6180, 0xA141, 0x6300, 0xA3C1, 0xA281, 0x6240,
 0x6600, 0xA6C1, 0xA781, 0x6740, 0xA501, 0x65C0, 0x6480, 0xA441,
 0x6C00, 0xACC1, 0xAD81, 0x6D40, 0xAF01, 0x6FC0, 0x6E80, 0xAE41,
 0xAA01, 0x6AC0, 0x6B80, 0xAB41, 0x6900, 0xA9C1, 0xA881, 0x6840,
 0x7800, 0xB8C1, 0xB981, 0x7940, 0xBB01, 0x7BC0, 0x7A80, 0xBA41,
 0xBE01, 0x7EC0, 0x7F80, 0xBF41, 0x7D00, 0xBDC1, 0xBC81, 0x7C40,
 0xB401, 0x74C0, 0x7580, 0xB541, 0x7700, 0xB7C1, 0xB681, 0x7640,
 0x7200, 0xB2C1, 0xB381, 0x7340, 0xB101, 0x71C0, 0x7080, 0xB041,
 0x5000, 0x90C1, 0x9181, 0x5140, 0x9301, 0x53C0, 0x5280, 0x9241,
 0x9601, 0x56C0, 0x5780, 0x9741, 0x5500, 0x95C1, 0x9481, 0x5440,
 0x9C01, 0x5CC0, 0x5D80, 0x9D41, 0x5F00, 0x9FC1, 0x9E81, 0x5E40,
 0x5A00, 0x9AC1, 0x9B81, 0x5B40, 0x9901, 0x59C0, 0x5880, 0x9841,
 0x8801, 0x48C0, 0x4980, 0x8941, 0x4B00, 0x8BC1, 0x8A81, 0x4A40,
 0x4E00, 0x8EC1, 0x8F81, 0x4F40, 0x8D01, 0x4DC0, 0x4C80, 0x8C41,
 0x4400, 0x84C1, 0x8581, 0x4540, 0x8701, 0x47C0, 0x4680, 0x8641,
 0x8201, 0x42C0, 0x4380, 0x8341, 0x4100, 0x81C1, 0x8081, 0x4040 };

static uint16_t CRC16_Buff(uint8_t *tBuff, uint32_t tLen)
{
uint16_t tCRC = 0xffff;

    while(tLen--) tCRC = (tCRC >> 8) ^ CRC16Table[(tCRC & 0xFF) ^ *tBuff++];
    return tCRC;
}

Пытался вчера бысро его найти в сети чтобы полином посмотреть какой используеться, но в первых рядах поиска почему-то табличный алгоритм заточеный под 8 битники. Теперь попробую аппаратный прикрутить.

Отредактировано MasterElectric (2017-08-10 11:27:38)

15

Вот здесь еще проверял https://www.lammertbies.nl/comm/info/cr … ation.html
см. CRC-16 (Modbus)

Насчет полинома 

// P_16 -- 0xA001 or 1010 0000 0000 0001 is a reversed form
// of the standard polynom 0x8005 or 1000 0000 0000 0101

16

Вначале не пошлел, решающим момент оказался вот этот:

Код:
  // while(tLen--) CRC->DR = *tBuff++;  // так не работает
  while(tLen--) *(__IO uint8_t*) &CRC->DR = *tBuff++;  // после приведения работает (как у тебя)

17

Результат:

Код:
void CRC_ModBus_Init(void);
uint16_t CRC_ModBus_CalcBuff(uint8_t *tBuff, uint16_t tLen);

void CRC_ModBus_Init(void)
{
  CRC->CR = CRC_CR_POLYSIZE_0 | CRC_CR_REV_IN_0 | CRC_CR_REV_OUT;
  CRC->INIT = 0xffff;
  CRC->POL = 0x8005;
  CRC->CR |= CRC_CR_RESET;
}

uint16_t CRC_ModBus_CalcBuff(uint8_t *tBuff, uint16_t tLen)
{
  CRC->CR |= CRC_CR_RESET;
  while(tLen--) *(__IO uint8_t*) &CRC->DR = *tBuff++;
  return CRC->DR;
}

не забываем включить тактирование модуля CRC
Проверялось на Stm32f746

Отредактировано MasterElectric (2017-08-10 12:49:27)

18

MasterElectric написал(а):

решающим момент оказался вот этот:

Ну да , хоть CRC и 16 но пакет то пихаем побайтно .
Смотри много что из периферии используют доступ как 8 так 16 и 32 бит.
С тем же SPI на новой периферии хомячки имеют батхерт...

19

Еще бы ДМА прикрутить, но не предусмотрели такого.

20

MasterElectric написал(а):

но не предусмотрели такого.

Ну как же, как же ... http://www.st.com/content/ccc/resource/ … 068118.pdf

Твой случай stream - потоки, у меня каналы ...

21

А нет, получилось, но наверное это не совсем надежно, раз по документации нет такой возможности.

22

Ну докУмент то прочитай...

23

Да, я уже потом увидел, но в RM я такой возможности не увидел (наверное плохо искал). Да изучу документ. А работает в режиме Memory to Memory (просто в другом случае не понятно кто будет делать запросы.) Спасибо за документик нужно перелистать все что у них есть, многого я не знаю)

Отредактировано MasterElectric (2017-08-17 21:47:28)

24

Вывод: нужно больше читать документации от производителя. Спасибо за наводку. Тогда будет расчет CRC аппаратный + ДМА. Нужно еще подумать как распределять ресурсы (CRC) между каналами RS485, наверное если аппаратный занят нужно или ждать, или расчитывать програмно, но опять таки это приводит к тому, что каждому каналу RS485 нужен канал таймера для опроса состояния и логики. Может кто знает как красиво работать с каналами таймеров как с отдельным аппаратным модулем, т.к. в библиотеке дефайнов уже не наставить, буду думать. dosikus Спасибо за помощь.

25

dosikus с ДМА хорошо если данные 8 битные, а если 32? как же делать задержку, или это только перед чтением результата актуально?

26

MasterElectric написал(а):

Может кто знает как красиво работать с каналами таймеров как с отдельным аппаратным модулем, т.к. в библиотеке дефайнов уже не наставить,

В какой библиотеке?
И подробней пожалуйста, что именно нужно?

27

MasterElectric написал(а):

как же делать задержку, или это только перед чтением результата актуально?

Зачем задержку? Ты про коменты в моем примере?
Дык это я просто показую что CRC вычисляется правильно,
по нормальному -
пришел пакет
пихаем его вместе с CRC в CRC считалку
если по окончанию в CRC_DATA нуль то все верно и пакет не битый
CRC на саму себя даст нуль

А когда тебе надо отсылать пакет
вычисляешь CRC пакета и дописываешь сей CRC к пакету и отсылаешь

28

dosikus написал(а):

Зачем задержку? Ты про коменты в моем примере?

http://s3.uploads.ru/t/6wHr4.jpg
Я про это, но пока копировал понял что результат на выходе задерживается, данные скорее всего можно загонять подряд. Установлю переводчик с английским плохо-плохо у меня.

Я пишу на С++, пробую сразу писать библиотеки и дефайны уже ну никак не вписываються в С++, приходиться все писать в переменные.(я уже тут выкладывал свое "творение").
Я так задумал для каждого экземпляра RS485 использовать отдельный канал таймера - для формирования задержек, под них же нету структур а работать нужно раздельно в зависимости от номера, ну скорее всего сделаю свою константную структуру с описание канала.

Отредактировано MasterElectric (2017-08-10 20:22:08)

29

На плюсах я тебе не помощник, к нам присоединился Reflector спроси у него...

30

MasterElectric, перенесём топик в stm32:usart,i2c,usb, а вторую страницу в Private ?


Вы здесь » Микроконтроллеры » Архив » STM32F746 + USART в режиме RS485